نحوه شبیه سازی با ModelSim - Altera

فهرست مطالب:

نحوه شبیه سازی با ModelSim - Altera
نحوه شبیه سازی با ModelSim - Altera

تصویری: نحوه شبیه سازی با ModelSim - Altera

تصویری: نحوه شبیه سازی با ModelSim - Altera
تصویری: ModelSim ALTERA STARTER EDITION (Assignment 3 Problem A part 1) 2024, ممکن است
Anonim

ما ماژول testbench را می نویسیم و شبیه سازی را در محیط ModelSim از Altera اجرا می کنیم.

ابزار Altera ModelSim
ابزار Altera ModelSim

ضروری

  • - کامپیوتر؛
  • - محیط توسعه نصب شده Quartus II + ModelSim.

دستورالعمل ها

مرحله 1

اولین قدم این است که مطمئن شوید مسیر رسیدن به ابزار ModelSim در محیط توسعه Quartus II مشخص شده است. برای این کار ، منوی Tools -> Options را باز کنید. در گزینه ها ، به گزینه General -> EDA Tool بروید. قسمت ModelSim-Altera را پیدا کرده و C را وارد می کنیم: / altera / 13.0sp1 / modelsim_ase / win32aloem یا با کلیک کردن روی دکمه سه نقطه ، این کامپیوتر را در کامپیوتر جستجو می کنیم. طبیعتاً ، برای نسخه Quartus غیر از من ، مسیر خود را به فهرست "win32aloem" خواهید داشت.

تعیین مسیر دستیابی به ابزار شبیه سازی ModelSim
تعیین مسیر دستیابی به ابزار شبیه سازی ModelSim

گام 2

شما یک پروژه برای FPGA در Quartus II دارید. نحوه نوشتن تست یا نیمکت های آزمایشی (testbench) - این یک موضوع برای یک مقاله جداگانه است. در حال حاضر ، فرض کنید میز آزمایش شما قبلاً نوشته شده باشد. اکنون باید به محیط توسعه بگویید که می خواهید هنگام شبیه سازی از کدام آزمون استفاده کنید. برای انجام این کار ، تنظیمات را از طریق منوی Assignements -> Settings … در پنجره باز شده ، در قسمت EDA Tool Settings -> Simulation ، روی دکمه Test Benches … کلیک کنید ، به هر حال ، می توانید تنظیم کنید چندین آزمایش را انجام دهید و هنگام تدوین پروژه به آزمایش مورد نیاز بروید.

تنظیم پارامترهای شبیه سازی
تنظیم پارامترهای شبیه سازی

مرحله 3

پنجره ای برای ویرایش تست ها باز شده است. ما هنوز هیچ نیمکت آزمایشی ایجاد نکرده ایم ، بنابراین لیست خالی است. روی دکمه New کلیک کنید … در پنجره باز شده ، باید تنظیمات آزمایش را تنظیم کنید.

در سمت چپ قسمت ، روی دکمه سه نقطه کلیک کنید. پرونده را با کد testbench انتخاب کرده و Open را کلیک کنید. اکنون روی دکمه Add کلیک کنید. این آزمون در لیست آزمون ها ظاهر شد.

پس از آن ، در فیلد ، نام ماژول سطح بالا را که در میز آزمایش شما تعریف شده تنظیم کنید. می توانید هر نامی را در این قسمت وارد کنید ، به طور پیش فرض به صورت خودکار همان نام ماژول ایجاد می شود.

این تمام است ، ما تنظیمات اولیه آزمون را تعیین کرده ایم. بر روی OK دو بار کلیک کنید. اکنون آزمون ما در لیست کشویی نیمکت های آزمون ظاهر شده است. دوباره روی تأیید کلیک کنید.

نیمکت های آزمایشی را به پروژه اضافه کنید
نیمکت های آزمایشی را به پروژه اضافه کنید

مرحله 4

اگر هنوز سنتز پروژه را انجام نداده اید ، وقت آن رسیده است که آن را انجام دهید. پردازش -> شروع -> شروع تجزیه و تحلیل و ترکیب را از فهرست انتخاب کنید ، یا کلید ترکیبی Ctrl + K را فشار دهید ، یا به سادگی روی نماد مربوطه در پانل بالا کلیک کنید.

ما تجزیه و تحلیل و سنتز پروژه را شروع می کنیم
ما تجزیه و تحلیل و سنتز پروژه را شروع می کنیم

مرحله 5

می توان شبیه سازی را شروع کرد. Tools -> Run Simulation Tool -> RTL Simulation را از فهرست (1) انتخاب کرده یا روی نماد RTL Simulation در پانل بالا (2) کلیک کنید.

اجرای فرآیند شبیه سازی در ModelSim
اجرای فرآیند شبیه سازی در ModelSim

مرحله 6

ابزار ModelSim شروع می شود ، که تمام دستورالعمل های نوشته شده در میز آزمایش شما را اجرا می کند و متوقف می شود (اگر این مورد را با دستور $ stop در کد آزمون مشخص کرده اید). صفحه نمایش نمودارهای سطح سیگنال های ورودی و خروجی FPGA را که در پروژه توصیف کرده اید نمایش می دهد.

توصیه شده: